Welcome![Sign In][Sign Up]
Location:
Search - ADC0809 VHDL

Search list

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809的fpga时序电路接口程序-Sequential Circuits adc0809 the FPGA interface program
Platform: | Size: 196608 | Author: yc | Hits:

[VHDL-FPGA-Verilogadc0809

Description: VHDL编写的ADC0809 控制器,经过验证没有错误-VHDL prepared ADC0809 controller, no errors verified
Platform: | Size: 1024 | Author: 王攀 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: VerlogHDL 代码,对AD0809读取的数据显示译码,采取查找表的方式
Platform: | Size: 1024 | Author: 刘红亮 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809数模转换芯片fpga控制程序
Platform: | Size: 57344 | Author: conanhfl | Hits:

[VHDL-FPGA-Verilogadc0809

Description: ADC0809的驱动程序,经实验正确可靠,实用-ADC0809 driver, after the experiment to accurate and reliable, practical
Platform: | Size: 1024 | Author: chenyang | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
Platform: | Size: 156672 | Author: peter | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
Platform: | Size: 46080 | Author: 杨晴飞 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809,完整的功能描述,每0.5秒采样一次,并显示出来。外置ADC0809芯片,-ADC0809, a complete functional description of each sampling time 0.5 seconds, and displayed. ADC0809 external chip
Platform: | Size: 82944 | Author: heshuiming | Hits:

[VHDL-FPGA-VerilogADC0809

Description: VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
Platform: | Size: 1024 | Author: 袁野 | Hits:

[VHDL-FPGA-VerilogADC0809VHDL

Description: 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL control procedures, see the book with accompanying CD-ROM in the file: ADC0809VHDL procedures and simulation.- File Name: ADC0809.vhd- features: Based on the VHDL language, to achieve a simple control ADC0809- Description: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock signal, here by the Department of FPGA- EC clock (50MHz ) by the 256 sub-frequency has been clk1 (195KHz) as ADC0809 clock conversion work.- Last modified date: 2004.3.20
Platform: | Size: 4096 | Author: wangnan | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 完整ADC0809的时序,采用VHDL语言编写,在Altera cycloneI/II系列下的EP1C6\EP2C5\8平台下测试完成,稳定-ADC0809 Driver by VHDL
Platform: | Size: 406528 | Author: ziyan | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 硬件描述语言VHDL的A/D转换程序,可用于做实验,或者初学者借鉴.-Hardware Description Language VHDL of the A/D conversion process can be used for experiments, or the beginners learn.
Platform: | Size: 134144 | Author: czm | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机描写的ADC0809的驱动程序,希望对于刚接触状态机的新手有所帮组-IT IS USEFUL.....
Platform: | Size: 351232 | Author: tom | Hits:

[Embeded-SCM DevelopADC0809VHD

Description: 8.4 ADC0809 VHDL控制程序-8.4 ADC0809 VHDL control procedures
Platform: | Size: 4096 | Author: 李传敏 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 基于ADC0809的采样控制电路的实现,虽然简单,但是代码写得规范,值得学习-ADC0809 based sampling control circuit implementation is simple, but the code is written specifications, it is worth learning
Platform: | Size: 290816 | Author: Andy Lao | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用VHDL语言描述的有关于ADC0809的驱动程序-Described using VHDL, the driver on the ADC0809
Platform: | Size: 1024 | Author: 李柏睿 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 利用FPGA控制ADC0809采样电压,并通过数码管显示电压数值-ADC0809 FPGA control by sampling the voltage and the voltage value through the digital display
Platform: | Size: 843776 | Author: 雷旦 | Hits:

[source in ebookADC0809-VHDL

Description: 模数转换器ADC0809 VHDL控制程序,-ADC0809 VHDL
Platform: | Size: 4096 | Author: MOHAMAD | Hits:

[VHDL-FPGA-VerilogADC0809-VHDL-control-procedures

Description: ADC0809 VHDL控制程序源代码及仿真结果-ADC0809 VHDL source code of a program to control the simulation results
Platform: | Size: 4096 | Author: 吴云志 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net